gecko4education_epfl:buttons

DIP-switches and buttons information

The GECKO4-Education platform contains two 8-position DIP-switches and seven buttons as shown in the below figure.

Each of these switches is connected to an individual FPGA-pin. In case a switch is activated it gives 0V (logic 1) and if it is not activated it gives VCC (logic 0) on the FPGA-pin (the switches are therefore active low). Furthermore, all switches do not include an anti-dender circuit and the seven buttons are connected to clock-inputs of the FPGA.

The below table indicates at which FPGA-pin each of the switches of the DIP-switches is connected. And here you find an example tcl script that can be used for pin-assignment in Quartus.

Switch 1 2 3 4 5 6 7 8
DIP-switch1PIN_V11PIN_V10PIN_AB10PIN_AA10PIN_AB9PIN_AA9PIN_AB8PIN_AA8
DIP-switch2PIN_Y8 PIN_AB7PIN_AA7 PIN_Y7 PIN_Y6 PIN_AB5PIN_AA5PIN_AB4

The below table indicates at which FPGA-pin each of the buttons is connected. And here you find an example tcl script that can be used for pin-assignment in Quartus.

SW1 SW2 SW3 SW4 SW5 SW6 SW7
PIN_B11PIN_A11PIN_B12PIN_A12PIN_G22PIN_AA11PIN_AB11
All the seven buttons are connected to clock inputs of the FPGA and can therefore be used as clock source.
  • gecko4education_epfl/buttons.txt
  • Last modified: 2021/12/20 10:49
  • by 127.0.0.1