gecko4education:pmod

Pmod connectors

The GECKO4-Education platform contains two double row Pmod connectors (PMOD1,PMOD2) and one “extended” single row Pmod connector (PMOD3) as indicated in the below figure.

All I/O pins and clock pins of the Pmod connectors are 3.3V compliant. In case of using the I/O pin(s) as input(s), or using a(the) clock input(s), make sure that the voltage levels are within 0V..3.3V, otherwise you might damage the FPGA!
Make sure that the current drawn on the 3.3V supply of the Pmod connectors does not exceed a total of 250mA. Exceeding this limit may force a malfunctioning of the board or in extreme cases destroy it.

The Pmod Interface specifications can be found here. A list of available Pmod modules is available here.

The below tables indicate the pin-out of the both double row Pmod connectors. And here you find an example tcl script that can be used for pin-assignment of the I/O pins in Quartus.

PMOD1 pin-out:

Pin number:1 2 3 4 5 6 7 8 9 10 11 12
Function: I/O 1 I/O 2 I/O 3 I/O 4 GND3V3I/O 7 I/O 8 I/O 9 I/O 10GND3V3
FPGA Pin: PIN_F2PIN_E3PIN_C2PIN_B2 PIN_F1PIN_E4PIN_C1PIN_B1


PMOD2 pin-out:

Pin number:1 2 3 4 5 6 7 8 9 10 11 12
Function: I/O 1 I/O 2 I/O 3 I/O 4 GND3V3I/O 7 I/O 8 I/O 9 I/O 10GND3V3
FPGA Pin: PIN_G5PIN_G4PIN_G3PIN_H2 PIN_H1PIN_J3PIN_J2PIN_J1

The below table indicates the pin-out of the single row Pmod “extended” connector. And here you find an example tcl script that can be used for pin-assignment of the I/O pins in Quartus.

The Pmod “extended” connector has been extended with two extra pins that are directly connected to clock-inputs of the FPGA. In this way two external clock sources can be used to synchronize an external system with the FPGA.

PMOD3 pin-out:

Pin number:1 2 3 4 5 6 7 8
Function: I/O 1 I/O 2 I/O 3 I/O 4 GND3V3CLK 1 CLK 2
FPGA Pin: PIN_AA16PIN_AB16PIN_AA15PIN_AB15 PIN_AA12PIN_AB12
When using a standard single row Pmod module make sure that the PCB of this module shows to the left-hand side of the GECKO4-Education board (the PCB-position is marked by a fat-white-line left of PMOD3) and that the two clock pins are not connected by one of the pins of the Pmod module.
  • gecko4education/pmod.txt
  • Last modified: 2021/12/20 10:49
  • by 127.0.0.1