====== DIP-switches and buttons information ====== The GECKO4-Education platform contains two 8-position DIP-switches and seven buttons as shown in the below figure. {{ :gecko4education_epfl:buttons-epfl.png?220 |}} Each of these switches is connected to an individual FPGA-pin. In case a switch is activated it gives 0V (logic 1) and if it is not activated it gives VCC (logic 0) on the FPGA-pin (the switches are therefore active low). Furthermore, all switches do not include an anti-dender circuit and the seven buttons are connected to clock-inputs of the FPGA. ===== DIP-switch(es) information ===== The below table indicates at which FPGA-pin each of the switches of the DIP-switches is connected. And {{ :gecko4education_epfl:dip_switches.tcl | here}} you find an example tcl script that can be used for pin-assignment in Quartus. ^Switch ^1 ^2 ^3 ^4 ^5 ^6 ^7 ^8 ^ |DIP-switch1|PIN_V11|PIN_V10|PIN_AB10|PIN_AA10|PIN_AB9|PIN_AA9|PIN_AB8|PIN_AA8| |DIP-switch2|PIN_Y8 |PIN_AB7|PIN_AA7 |PIN_Y7 |PIN_Y6 |PIN_AB5|PIN_AA5|PIN_AB4| ===== Button(s) information ===== The below table indicates at which FPGA-pin each of the buttons is connected. And {{ :gecko4education_epfl:switches.tcl | here}} you find an example tcl script that can be used for pin-assignment in Quartus. ^SW1 ^SW2 ^SW3 ^SW4 ^SW5 ^SW6 ^SW7 ^ |PIN_B11|PIN_A11|PIN_B12|PIN_A12|PIN_G22|PIN_AA11|PIN_AB11| All the seven buttons are connected to clock inputs of the FPGA and can therefore be used as clock source.